1. <pre id="srmtd"></pre>
      
      
    2. <menuitem id="srmtd"></menuitem>

    3. 西門子S7系列PLC 計(jì)數(shù)器的結(jié)構(gòu)

      計(jì)數(shù)器是一種由位和字組成的復(fù)合單元,計(jì)數(shù)器的輸出由位表示,其計(jì)數(shù)值存儲(chǔ)在字存儲(chǔ)器中。在CPU的存儲(chǔ)器中留出了計(jì)數(shù)器區(qū)域,該區(qū)域用于存儲(chǔ)計(jì)數(shù)器的計(jì)數(shù)值。每個(gè)計(jì)數(shù)器為2個(gè)字節(jié)(Byte),稱為計(jì)數(shù)字。在S7300中,計(jì)數(shù)器區(qū)為512個(gè)字節(jié)(Byte),因此最多允許使用256個(gè)計(jì)數(shù)器。

       

       

       

       


      計(jì)數(shù)器的第0到第11位存放BCD碼格式的計(jì)數(shù)值,三位BCD碼表示的范圍是0999。第1215位沒有用途。 

       

       

       

       

       

      S7中的計(jì)數(shù)器用于對(duì)RLO正跳沿計(jì)數(shù)。S7中有三種計(jì)數(shù)器,它們分別是:加計(jì)數(shù)器、減計(jì)數(shù)器和可逆計(jì)數(shù)器。只要計(jì)數(shù)器的計(jì)數(shù)值不是“0”,計(jì)數(shù)器的輸出就為“1”。

      當(dāng)計(jì)數(shù)器啟動(dòng)時(shí),累加器1低字的內(nèi)容被當(dāng)作計(jì)數(shù)初值裝入計(jì)數(shù)字中。這一過程是由操作系統(tǒng)控制自動(dòng)完成的,用戶只需給累加器l裝入不同的數(shù)值,即可設(shè)置需要的計(jì)數(shù)初值。

      L C# xyz

      其中: xyz=記數(shù)初值,取值范圍:1999。

      FBD符號(hào):

      可逆計(jì)數(shù)器 加計(jì)數(shù)器 減計(jì)數(shù)器

      端子說(shuō)明: CU —— 加計(jì)數(shù)輸入 CD —— 減計(jì)數(shù)輸入

      S —— 預(yù)置輸入 PV —— 設(shè)置計(jì)數(shù)初值

      R —— 復(fù)位輸入 Q —— 計(jì)數(shù)器狀態(tài)輸出

      CV —— 當(dāng)前計(jì)數(shù)值輸出(十六進(jìn)制格式)

      BCD—— 當(dāng)前計(jì)數(shù)值輸出(BCD碼格式)

      3.3.1

      FBD 功能圖如下:

      STL語(yǔ)句表如下:

      A I 0.0

      CU C 10 \\計(jì)數(shù)器加計(jì)數(shù)

      A I 0.1

      CD C 10 \\計(jì)數(shù)器減計(jì)數(shù)

      A I 0.2

      S C 10 \\計(jì)數(shù)初值預(yù)置

      L C#55 \\裝入計(jì)數(shù)初值到ACCU1

      A I 0.3

      R C 10 \\計(jì)數(shù)C10復(fù)位

      A C 10

      = Q 4.0

      l 當(dāng)輸入信號(hào)I 0.2由“0”變?yōu)椤?span >1”時(shí),計(jì)數(shù)初值55被裝入計(jì)數(shù)C10;

      l 如果輸入信號(hào)I 0.0由“0”變?yōu)椤?span >1”,計(jì)數(shù)C10的計(jì)數(shù)值加1(除非C10的計(jì)數(shù)值已達(dá)到999);

      l 如果輸入信號(hào)I 0.1由“0”變?yōu)椤?span >1”,計(jì)數(shù)C10的計(jì)數(shù)值減1;(除非C10的計(jì)數(shù)值已減到0);

      l 如果輸入信號(hào)I 0.3由“0”變?yōu)椤?span >1”,計(jì)數(shù)C10被復(fù)位,計(jì)數(shù)值為0

      l 只要計(jì)數(shù)器C10的計(jì)數(shù)值不為0,則Q 4.0輸出就為“1”。

      日韩无码a片精彩视频,精品国产一区二区三区免费看,U久久精品国产99国产精品亚洲,亚洲色欧美色国产精品

        1. <pre id="srmtd"></pre>
          
          
        2. <menuitem id="srmtd"></menuitem>